文库网
ImageVerifierCode 换一换
首页 文库网 > 资源分类 > PPT文档下载
分享到微信 分享到微博 分享到QQ空间

电气工程基础A幻灯片PE_10.ppt

  • 资源ID:2183075       资源大小:616.50KB        全文页数:44页
  • 资源格式: PPT        下载积分:40文币
微信登录下载
快捷下载 游客一键下载
账号登录下载
三方登录下载: QQ登录 微博登录
二维码
扫码关注公众号登录
下载资源需要40文币
邮箱/手机:
温馨提示:
快捷下载时,用户名和密码都是您填写的邮箱或者手机号,方便查询和重复下载(系统自动生成)。
如填写123,账号就是123,密码也是123。
支付方式: 支付宝    微信支付   
验证码:   换一换

加入VIP,免费下载
 
账号:
密码:
验证码:   换一换
  忘记密码?
    
友情提示
2、PDF文件下载后,可能会被浏览器默认打开,此种情况可以点击浏览器菜单,保存网页到桌面,就可以正常下载了。
3、本站不支持迅雷下载,请使用电脑自带的IE浏览器,或者360浏览器、谷歌浏览器下载即可。
4、本站资源下载后的文档和图纸-无水印,预览文档经过压缩,下载后原文更清晰。
5、试题试卷类文档,如果标题没有明确说明有答案则都视为没有答案,请知晓。

电气工程基础A幻灯片PE_10.ppt

1、 44 第四章 动态电压缩放电路的实现 00 =S dSTH2 = 10 =S dSdTH2 += 15 =S 00 =S2 2 R H T dST+= 10=S2 2 R H T dSdT+= 所以可由下式给出: H T 2 520 R H T SdSdST+= 再由(1)-(3)得出方程: ()dSSSSSSSTH+=53251648342210 () + = 321 31 NfordN NfordN (4) 由方程(3)(4),占空比表示为: D R H T T D= + = 32 66 1 31 66 Nfor N Nfor N (5) X 0S Q 0 0 5S 0 1 5S 1 0

2、 A 1 1 A 表 4.1 4 选 1 MUX 真值表 45 超大规模集成电路低功耗设计与分析 PWM得到的输出有64个量化单位的范围, 是振荡环中反相器数目的4倍, 因为控制器的功耗主要消耗在振荡环路的翻转上,占大部分面积的主要是多选 一MUX和振荡环所以实现了较小的面积和功耗。 d A _ A B(S1=0) B(S1=1) S5=0 S5=1 Q S0=0 _ Q=A TH=S 2 d+TR/2 TRTR/2 (33d)(66d) 0S 2 d S0=1TH= d+S 2 d+TR/2Q=A S0=1 TH=d+S 2 d Q=A S0=0 TH=S 2 d _ Q=A Q=S5Q=S

3、5 X TR/2-S 2 d S 2 dS 2 d 图 4.5 时序图 对图4.4结构除反相器环外用SMIC.18库标准单元实现,并在Nanosim中 仿真,其中振荡环中反相器的延迟应该根据PWM所需要的振荡频率进行相应 0 0.2 0.4 0.6 0.8 1 1.2 1.4 1.6 1.8 2 16 11 16 21 26 31 36 41 46 51 56 61 N Vddl(V) 图 4.6 电压转换器输出电压和的关系图 N 46 第四章 动态电压缩放电路的实现 的调整。本电路最终设计的目标是电压转换器的振荡频率为1MHz,所以通过 调整振荡环中反相器的宽长而达到要求。 另外选用外接器件

4、L=4.7uH,C=5.5uF。 若在给定负载为5时,任意选择的值,得到相对应的结果如图4.6。其 中的最大纹波电压为0.01V,过渡时间最长为90us,根据文献 N DDL V DDL V18的最小时 间控制定律,该转换器可以保证在所需两稳定电压之间的转换时间大于100us 的要求下是稳定的,其纹波电压也符合要求。转换器的输出电流最大可达 360mA,是文献19所报道最大输出电流的3倍,有较大幅度的提高。另外该转 换器在不同条件下的平均功耗为30mW,适于集成在待控芯片的功率消耗比 较大的DVS系统中。 N 2电平转换器 虽然结果的线性度较好,但由于开关管导通时源漏等效电阻的影响,会在 电源

5、电压为1.8V时,电压转换器输出的最高电压不能完全达到1.8V,而是要 比1.8V略低,比如上面仿真的结果就为1.775V。为了使最高电压达到1.8V, 在实际电路的实现中,选择了3.3V的电源供电。当然在仿真时,开关管选择的 模型参数也需要是相对应3.3V的。 由于PWM发生器输出的方波的峰值电压为 VDDH Vout Vin VDDL L 图 4.7 电位转换电路 47 超大规模集成电路低功耗设计与分析 1.8V,所以不能直接用来控制开关管,而是要经过一个由低到高的电平转换, 该电路如图4.7。该电平转换器能够较好地完成电压的转换,同时功耗也在容忍 的范围内。是需要转换的低电平,是转换后的

6、电压, 电源是高电压, 其中反相器是由低电压电源供电。 in V out V DDH V L DDL V 二、 速度检测器 1速度检测器的电路图 速度检测器的工作原理就是:检测信号经过三条路径后的时序关系,来给 出判断结果,如图4.8。 三条路径分别为: 1)待控芯片关键路径的复制“CPR”。 2)同上的复制“CPR”,再加上一个10%左右的延时余量,称为“CPR+”。 3)直接连接两个寄存器的路径,称为参考路径“REF”。 因为即使在很低的下,直接相连的路径总能在周期内正确地传输 测试数据, 所以可以把他作为参照路径。 如果其他路径的延时比所给定周期 时间长时,即不能满足时序上的要求。则路径

7、末端的触发器,不能够在规定的 时刻采到所需要的数据。 各个路径的输出通过与直接相连路径REF的输出进行 对比,就可以推断出工作在电压下的芯片是否能正确地工作在周期下。 当过低时,“CPR”和“CPR+”两个路径的输出同时都是错误的,速度检测器 应该输出+1来提高。当较高时,“CPR+”路径上的延迟要短于给定频 率,也就是说通过两条路径后的数据输出都是正确的,速度检测器输出-1 来降低。当的大小刚好能够达到下面的结果时:“CPR”路径的输出是 正确的,“CPR+”的输出是错误的,也就是说电压能够使“CPR”路径上的延迟满 DDL V ext f ext f DDL V ext f DDL V D

8、DL V DDL V ext f DDL V DDL V 48 第四章 动态电压缩放电路的实现 足频率的要求, 还保证了电压仅高出所需最小电压值在一个很小的余量范围 内。这时速度检测器就输出0值,用来维持的大小。对于得到稳定的 电压来说,存在这么一个不能检测出的电压范围是必要的,但同时也会产生一 个偏差。偏差必须尽量缩小,只不过有个前提是需要比的最小精度要大。 这是因为如果偏差值比的精度小的话,在这个电压范围内就不会存在一个 的电平值,会导致一个为精度大小的纹波。6%的余量对应着80mV的 ,比精度50mV要大一些,加到一起的最大误差值为130mV。 ext f DDL V DDL V DDL

9、 V DDL V DDL V DDL V DDL V DDL V Critical Path Replica fext fext fextfext fextVDDL VDDL Critical Path Replica DQN Q DQN Q DQN Q D QN Q fext fext Test Data GeneratorOutput Data Comparator hold hold VDD(1.8V)VDD(0.51.8V)VDD(1.8V) “SH“ “SL“ SA-FF SA-FF test_data 图 4.8 速度检测器电路图 2速度检测器的时序图 时序图如图4.9。由图4.8

10、中测试数据发生器产生测试数据,根据外部所给 频率,每八个周期在上升沿1处产生一个由低到高的测试信号 ,在沿3后的下降沿产生另外的由低到高的触发信号hold。在上 升沿2使三个路径前端的寄存器置位, 并同时在三个路径上传输。 在上升沿 ext f ext f datatest_ ext f ext f 49 超大规模集成电路低功耗设计与分第八章 系统芯片第八章 系统芯片 SOC 设计设计 SoC 概述概述 SoC 是系统级集成,将构成一个系统的软 / 硬件集成在 一个单一的 IC 芯片里。 它一般包含片上总线、 MPU 核、 SDRAM/DRAM 、 FLASH ROM 、 DSP 、 A/D

11、、 D/A 、 RTOS 内核、网络协议栈 、嵌入式实时应用程序等模块,同时,它也具有外部 接口,如外部总线接口和 I/O 端口。通常, SoC 中包 含的一些模块是经过预先设计的系统宏单元部件 ( Macrocell )或核( Cores ) ,或者例程 ( Routines ),称为 IP 模块,这些模块都是可配置的 。 SoC 概述概述 以超深亚微米 VDSM ( Very Deep Sub Micron )工艺 和知识产权 IP ( Intellectual Property )核复用 ( Reuse )技术为支撑。 是当今超大规模集成电路的发展趋势,也是 21 世纪 集成电路技术的主

12、流,为集成电路产业提供了前所未 有的广阔市场和难得的发展机遇。 设计中,设计者面对的不再是电路芯片;而是能实现 设计功能的 IP 模块库。 设计不能一切从头开始,要将设计建立在较高的基础 之上,利用己有的 IP 芯核进行设计重用。 建立在 IP 芯核基础上的系统级芯片设计技术,使设 计方法从传统的电路级设计转向系统级设计。 基本概念基本概念 系统芯片:将一个系统的多个部分集成在一个芯片上系统芯片:将一个系统的多个部分集成在一个芯片上 广义:将信息获取、处理、存储、交换甚至执行功能集广义:将信息获取、处理、存储、交换甚至执行功能集 成成 狭义:将信息处理、存储、交换等功能集成狭义:将信息处理、存

13、储、交换等功能集成 单芯片蓝牙 SoC 系统框架 图 特征:特征: 含有实现复杂功能的含有实现复杂功能的 VLSI 使用嵌入式使用嵌入式 CPU 和和 DSP 采用采用 IP 核进行设计核进行设计 采用采用 VDSM 技术技术 具有从外部对芯片编程的功能具有从外部对芯片编程的功能 SOC 三大支撑技术:三大支撑技术: 软硬件协同设计技术软硬件协同设计技术 IP 设计和复用技术设计和复用技术 超深亚微米设计技术超深亚微米设计技术 IP:Intellectual Property IP: 具有知识产权的经过验证、性能优化、可以被复用具有知识产权的经过验证、性能优化、可以被复用 的功能模块或子系统。

14、的功能模块或子系统。 IP 核,核, IP 模块,系统宏单元,虚拟部件模块,系统宏单元,虚拟部件 IP 复用:对系统中的有些模块直接用现成的复用:对系统中的有些模块直接用现成的 IP 来实现来实现 SOC 与集成电路设计的区别与集成电路设计的区别 采用采用 IP 设计方法,提高产能设计方法,提高产能 软硬件同时进行设计调试软硬件同时进行设计调试 不同系统兼容不同系统兼容 集成度高,设计验证难集成度高,设计验证难 VDSM 技术的采用使设计从面相逻辑转向面相互联技术的采用使设计从面相逻辑转向面相互联 EDA 工具还未成熟工具还未成熟 集成嵌入式软件集成嵌入式软件 系统芯片:通过系统芯片:通过 I

15、P 核复用来提高设计产能,通过系核复用来提高设计产能,通过系 统集成来涵盖不同的技术,进行混合技术设计,包统集成来涵盖不同的技术,进行混合技术设计,包 括嵌入式、高性能或低功耗逻辑、模拟、射频等技括嵌入式、高性能或低功耗逻辑、模拟、射频等技 术的集成。术的集成。 2. SOC 设计过程设计过程 要求要求 系统描述系统描述 设计高层次算法级模型,验证设计高层次算法级模型,验证 对系统进行软硬件划分,定义接口对系统进行软硬件划分,定义接口 进行软硬件协同仿真验证进行软硬件协同仿真验证 对硬件进一步划分成数个宏单元,并集成验证对硬件进一步划分成数个宏单元,并集成验证 系统集成,验证测试系统集成,验证

16、测试 嵌入式系统的典型设计过程 软硬件协同设计:软硬件协同设计: 实际上就是一个系统的软件部分、硬件部分协同开实际上就是一个系统的软件部分、硬件部分协同开 发的过程。在整个设计过程中,考虑系统软硬件部发的过程。在整个设计过程中,考虑系统软硬件部 分之间的相互作用以及探索它们之间的权衡划分,分之间的相互作用以及探索它们之间的权衡划分, 实际的软硬件协同设计覆盖设计过程中的许多问题实际的软硬件协同设计覆盖设计过程中的许多问题 ,包括系统说明与建模、异构系统的协同仿真、软,包括系统说明与建模、异构系统的协同仿真、软 硬件划分、系统验证、编译、软硬件集成、界面生硬件划分、系统验证、编译、软硬件集成、界

17、面生 成、性能与花费评估、优化等,其中软硬件划分是成、性能与花费评估、优化等,其中软硬件划分是 协同设计中最主要的挑战,它直接影响最后产品的协同设计中最主要的挑战,它直接影响最后产品的 性能与价格。性能与价格。 3. SOC 关键技术和问题关键技术和问题 软硬件协同设计软硬件协同设计 软硬件划分,协同指标定义,协同分析,协同模软硬件划分,协同指标定义,协同分析,协同模 拟,协同验证,接口综合拟,协同验证,接口综合 在进行软硬件划分时,通常有两个主要的任务:第在进行软硬件划分时,通常有两个主要的任务:第 一,分配(一,分配( allocation ),),也就是选择系统部件的也就是选择系统部件的

18、 过程,包括选择系统部件的类型、确定每种类型的过程,包括选择系统部件的类型、确定每种类型的 数量;第二,划分(数量;第二,划分( partitioning ),),在选择的部在选择的部 件上分配系统的功能,也就是把系统的功能进行合件上分配系统的功能,也就是把系统的功能进行合 理的分块,使每一块映射到相应合理的部件上。这理的分块,使每一块映射到相应合理的部件上。这 两个设计任务必须满足设计限制集,包括花费、性两个设计任务必须满足设计限制集,包括花费、性 能、尺寸、功能、向后兼容等。能、尺寸、功能、向后兼容等。 SOC 建模语言建模语言 SystemC SystemC: : 一种软硬件联合建模语言

19、一种软硬件联合建模语言 在在 1999 年年 11 月,以月,以 Synopsys 、 CoWare 、 Froniter Design 、 ARM 、 Cygnus Solution 、 Ericsson 、 Fujitsu 、 Infineon 、 Lucent Technologies 、 Sony 、 ST Microelectronics 、 Taxas Instruments 等为代表的、世界上最主要的等为代表的、世界上最主要的 EDA 工具开发商、工具开发商、 IP 供供 应商、半导体厂家、系统和嵌入式软件公司联合宣布成应商、半导体厂家、系统和嵌入式软件公司联合宣布成 立立 OS

20、CI ( Open SystemC Initiative ),),共同合作共同合作 开开 发一种发一种 C+ 建模平台,即建模平台,即 SystemC ,它是一种开放它是一种开放 的的 语言。语言。 OSCI 仿照仿照 Linux 形式将形式将 SystemC 的源代码在的源代码在 Web 网网 上公开供用户免费下载,用户可以用这些源代码和编译器开发自上公开供用户免费下载,用户可以用这些源代码和编译器开发自 己的模型,并与其他用户共享。认同开放式己的模型,并与其他用户共享。认同开放式 SystemC 的公司还的公司还 包括包括 Actel 、 Alcatel 、 Altera 、 Americ

21、an Applied Research 、 ARC Cores 、 C0-Design Automation 、 Integrated Silicon Systems 、 Intellectrual Property 、 MIPS Technologies 、 Simulation Magic 、 Summit Design 、 Sun Microsystems 、 Viewlogic Systems 、 Xilinx 等全球著名公司,这些公司都认为等全球著名公司,这些公司都认为 SystemC 是一种很好的硬件软件联合设计语言。是一种很好的硬件软件联合设计语言。 Ericsson 公公 司微

22、电子部主任司微电子部主任 Jan-Olof Kismalm 说:“通信系统的复杂性说:“通信系统的复杂性 在不断地增加而新的系统却要求以更短的时间推向市场为了以最在不断地增加而新的系统却要求以更短的时间推向市场为了以最 短的时间开发出复杂的产品,需要我们采用单一的语言描述复杂短的时间开发出复杂的产品,需要我们采用单一的语言描述复杂 的行为和的行为和 IP ,我们相信我们相信 SystemC 可以帮助我们以更好的方可以帮助我们以更好的方 法描述我们的系统,并在设计过程的初始阶段进行有效的硬件软法描述我们的系统,并在设计过程的初始阶段进行有效的硬件软 件联合设计。这可以大大缩短我们开发产品的时件联

23、合设计。这可以大大缩短我们开发产品的时 间”。间”。 Kismalm 先生的话表达了世界上众多公司欢迎先生的话表达了世界上众多公司欢迎 SystemC 的原因。的原因。 C+ 编程语言是目前比较流行的计算机语言之一,已被系编程语言是目前比较流行的计算机语言之一,已被系 统结构硬件工程师和软件工程师广泛使用,但却不能准确地描述统结构硬件工程师和软件工程师广泛使用,但却不能准确地描述 硬件建模的概念。软件算法和接口规范用硬件建模的概念。软件算法和接口规范用 C 或或 C+ 语言写语言写 成,成, C+ 程序描述了系统的行为,提供了紧凑、有效的系统描程序描述了系统的行为,提供了紧凑、有效的系统描 述

24、所必需的控制和调用数据。由于大多数设计者对于这些语言都述所必需的控制和调用数据。由于大多数设计者对于这些语言都 很熟悉,并且有很大数量的开发工作都与之相关联,因而可利用很熟悉,并且有很大数量的开发工作都与之相关联,因而可利用 资源比较丰富。资源比较丰富。 在在 C+ 语言的基础上,语言的基础上, SystemC 提供了一种扩展提供了一种扩展 C+ 类库进行硬件建模的方法和途径,不需要增加类库进行硬件建模的方法和途径,不需要增加 C+ 语言新的语语言新的语 法结构,它既是一个法结构,它既是一个 C+ 类库又是一种设计方法,可以有效地类库又是一种设计方法,可以有效地 创建软件精确算法和硬件结构模型

25、,以及创建软件精确算法和硬件结构模型,以及 SoC 与系统设计的接与系统设计的接 口,可以在系统级、行为描述级和口,可以在系统级、行为描述级和 RTL 级支持系统和硬件建模。级支持系统和硬件建模。 同时,允许设计者继续使用所熟悉的同时,允许设计者继续使用所熟悉的 C+ 语言及开发工具。语言及开发工具。 SystemC 由一组由一组 C+ 类库组成,是一种可描述硬件和软类库组成,是一种可描述硬件和软 件的系统建模语言。它提供了一个支持硬件描述的类库和一个解件的系统建模语言。它提供了一个支持硬件描述的类库和一个解 释硬件描述的调度器,并从释硬件描述的调度器,并从 C+ 继承了对软件的描述能力。用继

26、承了对软件的描述能力。用 户可使用户可使用 SystemC 对对 SOC 进行描述,然后使用一般进行描述,然后使用一般 C+ 编译编译 器及连接器(如器及连接器(如 Microsoft Visual C+ 、 Borland C+ 和和 GNU GCC 等)对等)对 SystemC 描述、调度器和相关的硬件类库进描述、调度器和相关的硬件类库进 行编译、链接,能够产生可执行的系统描述。行编译、链接,能够产生可执行的系统描述。 就就 SOC 本身而言,它解决了系统级设计所面临的挑本身而言,它解决了系统级设计所面临的挑 战,战, SystemC 功能之所以强大,在于它可以作为系统设计师、功能之所以强

27、大,在于它可以作为系统设计师、 软件工程师和硬件工程师的共同语言。软件工程师和硬件工程师的共同语言。 SystemC 允许允许 IP 模型模型 的复用,可共用工具的集成开发环境创建,完成从概念到实现的的复用,可共用工具的集成开发环境创建,完成从概念到实现的 设计过程。同时,设计过程。同时, Verilog 和和 VHDL 语言的语言的 RTL 级描述,现在级描述,现在 也可以用也可以用 SystemC 在在 SoC 设计中实现。设计中实现。 SystemC 通过在通过在 C+ 中增加了一个新类库的方法,实现对中增加了一个新类库的方法,实现对 C+ 的扩充,这个新扩充的类库主要用来描述硬件模型的

28、特性的扩充,这个新扩充的类库主要用来描述硬件模型的特性 ,扩充的内容包括:,扩充的内容包括: ( 1 1 ) 类模板类模板 SC-module :其作用相当于其作用相当于 VHDL 语言的设计实体语言的设计实体 ENTITY ,由它构成系统模型的基本划分单元。我们可以将硬件由它构成系统模型的基本划分单元。我们可以将硬件 划分为许多设计实体,每一个设计实体作为一个划分为许多设计实体,每一个设计实体作为一个 SC-module , 每个每个 SC-module 包括端口、构造函数、数据成员、子模块和进包括端口、构造函数、数据成员、子模块和进 程等描述。程等描述。 ( 2 2 ) 函数) 函数 Pr

29、ocess 进程:用于处理并发机制,包括进程:用于处理并发机制,包括 SC- module 、 SC-thread 和和 SC-cthread 。它可以实现硬件功能它可以实现硬件功能 的仿真,可以被激活和挂起(由系统对的仿真,可以被激活和挂起(由系统对 C+ 多线程的调度能力多线程的调度能力 实现)。实现)。 SystemC 提供了进程对提供了进程对 clock 、 event 和和 wait 语句语句 的敏感和挂起机制,同时支持周期仿真机制。的敏感和挂起机制,同时支持周期仿真机制。 ( 3 3 ) Clock 时钟:用于处理硬件的定时特性。时钟:用于处理硬件的定时特性。 ( 4 4 ) 支持

30、决断和非决断类型。支持决断和非决断类型。 ( 5 5 ) 支持支持 C+ 本身所有的数据类型,还定义了一些方便硬件仿本身所有的数据类型,还定义了一些方便硬件仿 真的数据类型。真的数据类型。 ( 6 6 ) 等待和观察机制,用来处理重激发行为。等待和观察机制,用来处理重激发行为。 ( 7 7 ) 多重设计层次的描述能力,具有对系统级到) 多重设计层次的描述能力,具有对系统级到 RTL 级的多层级的多层 次描述能力,并且支持不同设计层次之间的混合描述及通信能力。次描述能力,并且支持不同设计层次之间的混合描述及通信能力。 为此,增加了模块、端口、信号等描述,用于处理层次机制。为此,增加了模块、端口、

31、信号等描述,用于处理层次机制。 ( 8 8 ) 用来处理抽象通信的抽象端口和协议机制。用来处理抽象通信的抽象端口和协议机制。 ( 9 9 ) 用用 System-main 将所有的模块链接在一起,并提供时钟产将所有的模块链接在一起,并提供时钟产 生器和调式器,可以在生器和调式器,可以在 SystemC 中进行调试、分析、逐步优化中进行调试、分析、逐步优化 设计模型。设计模型。 ( 1010 ) 调试波形观察:) 调试波形观察: SystemC 本身就是一个本身就是一个 C+ 程序,可程序,可 以用现有的以用现有的 C+ 调试工具调试,也可以用调试工具调试,也可以用 vcd 等标准格式输出等标准

32、格式输出 波形。波形。 使用使用 SystemC 进行系统设计的好处是多方面的,包括:进行系统设计的好处是多方面的,包括: ( 1 1 )由于整个系统使用同一种语言所写,系统设计者不必懂得多)由于整个系统使用同一种语言所写,系统设计者不必懂得多 种语言,同时也省略了将硬件部分转化为专用硬件描述语言(如种语言,同时也省略了将硬件部分转化为专用硬件描述语言(如 Verilog 和和 VHDL )的麻烦。的麻烦。 ( 2 2 )通过加入必要的硬件和时间结构,设计可逐步优化,产生好)通过加入必要的硬件和时间结构,设计可逐步优化,产生好 的设计,也能及早发现设计中的错误。的设计,也能及早发现设计中的错误

33、。 ( 3 3 )由于能在设计的高层次级别中建模,程序容易写,代码少,)由于能在设计的高层次级别中建模,程序容易写,代码少, 减少了错误的产生,也比传统的仿真速度快,从系统级模块到减少了错误的产生,也比传统的仿真速度快,从系统级模块到 RTL 级模块,测试程序可以重复使用。级模块,测试程序可以重复使用。 用用 SystemC 的系统级设计方法与传统的系统级设计方法有所的系统级设计方法与传统的系统级设计方法有所 不同,传统的系统级设计方法首先由系统设计师使用不同,传统的系统级设计方法首先由系统设计师使用 C 或或 C+ 写出系统功能模型,在系统级验证设计概念以及算法的正确性。写出系统功能模型,在

34、系统级验证设计概念以及算法的正确性。 当概念和算法得到验证后,需要硬件实现的当概念和算法得到验证后,需要硬件实现的 C 或或 C+ 模型部分模型部分 由手工转换为由手工转换为 VHDL 或或 Verilog 的描述,从而得到实际的硬件的描述,从而得到实际的硬件 实现。但是该方法存在一些局限和问题:实现。但是该方法存在一些局限和问题: ( 1 1 )手工完成)手工完成 C/C+ 到到 Verilog/VHDL 等等 HDL 的转换:传的转换:传 统的系统级设计方法中,设计人员先编写统的系统级设计方法中,设计人员先编写 C/C+ 语言的系统模语言的系统模 型,经过验证功能满足要求,再将这些系统级模

35、型手工转换为型,经过验证功能满足要求,再将这些系统级模型手工转换为 Verilog/VHDL 等等 HDL 语言描述的模型,这个工作非常繁杂、语言描述的模型,这个工作非常繁杂、 冗长、费时且易出错。同时,它不能很好地实现软冗长、费时且易出错。同时,它不能很好地实现软 / 硬件协同设硬件协同设 计,硬件设计师在设计的后期才能参加到设计中,因此,不能在计,硬件设计师在设计的后期才能参加到设计中,因此,不能在 设计早期达成设计思想的一致。设计早期达成设计思想的一致。 ( 2 2 ) 系统模型与系统模型与 HDL 模型的分离:当系统模型转换为模型的分离:当系统模型转换为 HDL 模型后,模型后, HD

36、L 模型将成为设计的焦点,模型将成为设计的焦点, C/C+ 语言的模型很语言的模型很 快变得不再适用。如果后期设计有所变化,那么一般在快变得不再适用。如果后期设计有所变化,那么一般在 HDL 模模 型中更变,而不在型中更变,而不在 C/C+ 语言模型中做同步改变,这样就造成语言模型中做同步改变,这样就造成 了系统模型与了系统模型与 HDL 模型的分离。模型的分离。 ( 3 3 ) 多重系统测试:为了对) 多重系统测试:为了对 C/C+ 语言模型的功能进行验语言模型的功能进行验 证而创建的各种测试基准,不经过转换则不能用于证而创建的各种测试基准,不经过转换则不能用于 HDL 模型的模型的 验证。

37、因此,设计人员不但需要将验证。因此,设计人员不但需要将 C/C+ 语言模型转换为语言模型转换为 HDL 模型,而且要将模型,而且要将 C/C+ 语言模型的测试环境转换为语言模型的测试环境转换为 HDL 模型的测试环境。模型的测试环境。 SystemC 的设计方法提供了传统设计方法无法比拟的优势,的设计方法提供了传统设计方法无法比拟的优势, 主要包括:主要包括: ( 1 1 )逐步求精的设计方法)逐步求精的设计方法 采用采用 C/C+ 语言建立系统模型,从语言建立系统模型,从 C/C+ 语言描述转换语言描述转换 为为 HDL 描述并不是一蹴而就的,而是在小的部分中逐步求精的。描述并不是一蹴而就的

38、,而是在小的部分中逐步求精的。 在此过程中,可以加入必要的硬件和时序结构,从而创造出更加优在此过程中,可以加入必要的硬件和时序结构,从而创造出更加优 良的设计。用这种逐步求精的设计方法学,设计师可以更容易地实良的设计。用这种逐步求精的设计方法学,设计师可以更容易地实 现设计改变,在设计细化过程中更及时准确地发现设计缺陷。现设计改变,在设计细化过程中更及时准确地发现设计缺陷。 ( 2 2 )单一语言实现 )单一语言实现 采用采用 SystemC 能够实现从系统级到能够实现从系统级到 RTL 级的模型描述,测级的模型描述,测 试也可以采用同样的测试平台,从而省去了转换过程和测试过程的试也可以采用同

39、样的测试平台,从而省去了转换过程和测试过程的 时间。对于软时间。对于软 / 硬件协同设计而言,很重要的一点就是要使软硬件协同设计而言,很重要的一点就是要使软 / 硬硬 件设计在设计早期与后期都能做到功能一致,从而避免了在设计后件设计在设计早期与后期都能做到功能一致,从而避免了在设计后 期出现意想不到的问题。期出现意想不到的问题。 IP 复用技术复用技术 软核(软核( Soft Core ):): 用硬件描述语言或用硬件描述语言或 C 语言写成,可以是对设计语言写成,可以是对设计 的算法级描述,或功能级描述,也可以是仅仅用于的算法级描述,或功能级描述,也可以是仅仅用于 功能仿真的行为模拟,多以功

40、能仿真的行为模拟,多以 RTL 的方式呈现。它的的方式呈现。它的 特点是灵活性大,可移植性好,用户能方便地把特点是灵活性大,可移植性好,用户能方便地把 RTL 和门级和门级 HDL 表达的软表达的软 IP 核修改为自己所需要核修改为自己所需要 的设计,综合到选定的加工工艺上。但与硬的设计,综合到选定的加工工艺上。但与硬 IP 核相核相 比,可预测性差,设计时间长,比,可预测性差,设计时间长, IC 设计者往往需要设计者往往需要 在时间投片之后才能准确得知在时间投片之后才能准确得知 IP 是否符合需求,为是否符合需求,为 了克服这些缺点,了克服这些缺点, IP 核供应商有必要提供详细的核供应商有

41、必要提供详细的 IP 核验证数据供核验证数据供 IC 设计者评估。设计者评估。 硬核(硬核( Hard Core ):): 指和特定工艺相联系的物理版图设计,已经被投指和特定工艺相联系的物理版图设计,已经被投 片验证正确,可以被新设计作为特定的功能模块直片验证正确,可以被新设计作为特定的功能模块直 接调用,多以接调用,多以 GDSII Format 掩膜版图的方式呈现。掩膜版图的方式呈现。 它的特点是提供可预测的性能和快速的设计,但灵它的特点是提供可预测的性能和快速的设计,但灵 活性差,难以移植到不同的加工工艺。活性差,难以移植到不同的加工工艺。 固核(固核( Firm Core ):): 在

42、软核基础上开发,是一种可综合的,并带时在软核基础上开发,是一种可综合的,并带时 序信息以及布局布线规划的设计,用硬件描述语言序信息以及布局布线规划的设计,用硬件描述语言 写成,多以写成,多以 Netlist 的方式呈现。固的方式呈现。固 IP 核是介于硬核是介于硬 IP 核和软核和软 IP 核之间的核之间的 IP 核,通常以核,通常以 RTL 代码和代码和 对应具体工艺网表的混合形式提供,固对应具体工艺网表的混合形式提供,固 IP 核既不是核既不是 独立的,也不是固定的,可以根据用户的需要进行独立的,也不是固定的,可以根据用户的需要进行 修改,使它适合于某种可实现的工艺过程。修改,使它适合于某

43、种可实现的工艺过程。 IP 核的保护及商业模式:核的保护及商业模式: 可复用可复用 IP 核,包括参数化存储器、输入核,包括参数化存储器、输入 / 输出接口、算法逻辑单输出接口、算法逻辑单 元元 及整个处理器等,这些可复用模块的开发需要较大的投入,并具有及整个处理器等,这些可复用模块的开发需要较大的投入,并具有 极高的商业价值。极高的商业价值。 对于对于 IP 核的保护,除了使用专利、版权、商标、商业合同等手段核的保护,除了使用专利、版权、商标、商业合同等手段 外,从技术上探索外,从技术上探索 IP 核保护的有效方法也是十分必要的。核保护的有效方法也是十分必要的。 目前目前 IP 核保护主要有

44、两个技术途径:阻止非法授权使用和检测非核保护主要有两个技术途径:阻止非法授权使用和检测非 法法 授权使用。授权使用。 阻止非法授权使用技术主要是通过对阻止非法授权使用技术主要是通过对 IP 核加密等方法实现的,检核加密等方法实现的,检 测测 非法授权使用技术则是发现对非法授权使用技术则是发现对 IP 核的非法拷贝和使用。核的非法拷贝和使用。 另一方面当前的商业模式严重阻碍了具有巨大潜能的另一方面当前的商业模式严重阻碍了具有巨大潜能的 IP 核产业的核产业的 发发 展,因为用户在获得产品开发所需的展,因为用户在获得产品开发所需的 IP 核之前,需支付可观的前核之前,需支付可观的前 期期 费用,还

45、要经过漫长的谈判,同时对于这些费用,还要经过漫长的谈判,同时对于这些 IP 能否成功地嵌入到能否成功地嵌入到 自自 己的系统中,并满足技术指标要求等存在疑虑。因此,为了推动己的系统中,并满足技术指标要求等存在疑虑。因此,为了推动 IP 核复用及核复用及 SoC 技术的发展,必须建立新的技术的发展,必须建立新的 IP 核授权评估与使用模核授权评估与使用模 式,如式,如 Sun 公司微电子部推出了针对芯片设计的社区源授权公司微电子部推出了针对芯片设计的社区源授权 ( Community Source Licensing )业务模式,业务模式, IBM 则公开了则公开了 ASIC 片内总线标准片内总线标准 CoreConnect 。 SOC 验证验证 模拟模拟 形式验证技术形式验证技术 等价性检查等价性检查 静态验证技术静态验证技术 语法检查语法检查 静态时序分析静态时序分析 传统的专用集成电路(传统的专用集成电路( ASI C)设计较多采用自底向设计较多采用自底向 上(上( D ow n-to-Top)方法,其基本思想是从系统需方法,其基本思想是从系统需 求出发,根据已存在的硬件基本单元划分设计树最求出发,根据已存在的硬件基本单元划分设计树最 末枝的单元模块。硬件基本单元是由末枝的单元模块。硬件基本单元是由 ED A库提供,库提供, 或外购及其它


注意事项

本文(电气工程基础A幻灯片PE_10.ppt)为本站会员(魏子好的一塌糊涂的文献)主动上传,文库网仅提供信息存储空间,仅对用户上传内容的表现方式做保护处理,对上载内容本身不做任何修改或编辑。 若此文所含内容侵犯了您的版权或隐私,请立即通知文库网(点击联系客服),我们立即给予删除!




关于我们 - 网站声明 - 网站地图 - 资源地图 - 友情链接 - 网站客服 - 联系我们

文库网用户QQ群:731843829  微博官方号:文库网官方   知乎号:文库网

Copyright© 2025 文库网 wenkunet.com 网站版权所有世界地图

经营许可证编号:粤ICP备2021046453号   营业执照商标

1.png 2.png 3.png 4.png 5.png 6.png 7.png 8.png 9.png 10.png