MOOC 数字集成电路设计-三江学院 中国大学慕课答案.docx
《MOOC 数字集成电路设计-三江学院 中国大学慕课答案.docx》由会员分享,可在线阅读,更多相关《MOOC 数字集成电路设计-三江学院 中国大学慕课答案.docx(33页珍藏版)》请在文库网上搜索。
1、 MOOC 数字集成电路设计-三江学院 中国大学慕课答案集成电路简称是什么?1、问题:集成电路的英文简称是什么?选项:A、icB、ITC、SiD、IC正确答案:【IC】数字集成电路设计流程数字集成设计方法1、问题:常用的光刻工艺所使用的光是( )选项:A、红外光B、太阳光C、可见光D、深紫外光正确答案:【深紫外光】2、问题:数字集成电路设计流程是( )选项:A、自右向左B、自下向上C、自顶向下D、自左向右正确答案:【自顶向下】3、问题:下面哪个是现在在使用的特征工艺尺寸( )选项:A、180nmB、0.5nmC、32nmD、7nm正确答案:【180nm#32nm#7nm】 4、填空题:集成电路
2、的简称正确答案:【IC】5、填空题:电子设计自动化的英文简称正确答案:【EDA】6、填空题:常用数字集成电路的衬底材料的元素的全称(英文)是正确答案:【silicon】7、填空题:寄存器传输级的英文简称正确答案:【RTL】8、填空题:指令窗口英文简称正确答案:【CIW】9、填空题:解决时序驱动设计英文简称正确答案:【TDD】10、填空题:防止天线效应英文简称正确答案:【PAE】11、填空题:进行信号完整性分析(或称为噪声分析)英文简称正确答案:【SI】12、填空题:可制造性设计英文简称正确答案:【DFM】13、填空题:统计静态时序分析英文简称正确答案:【SSTA】14、填空题:布局的英文正确答
3、案:【floorplan】15、填空题:静态时序分析的英文简称正确答案:【STA】16、填空题:电源网络的英文正确答案:【power grid】17、填空题:签核的英文正确答案:【sign-off】18、填空题:最差 英文简称正确答案:【WC】 19、填空题:建立时间的英文正确答案:【setup time】20、填空题:保持时间的英文正确答案:【hold time】21、填空题:设计规则违反检查 英文简称正确答案:【DRV】22、填空题:物理综合的英文正确答案:【physical synthesis】23、填空题:掩模板的英文正确答案:【mask】24、填空题:多芯片封装 英文简称正确答案:【
4、SiP】25、填空题:标准时序约束 英文简称正确答案:【SDC】26、填空题:知识产权 英文简称正确答案:【IP】找出第 2 副图的 DRC 违例的地方,圈出闩锁效应(N 多公司的面试题目)标记图层2.5 逻辑单元库的建立的作业第 2 章测试1、问题: 一般是特征尺寸的( )选项:A、1B、1/2C、2D、1/3正确答案:【1/2】 2、问题:GDSII 数据是现阶段通用的一种标志版图描述语言,采用()记录版图信息,选项:A、自然语言B、Verilog HDLC、二进制D、ASIC 码正确答案:【二进制】3、问题:GDSII 数据的文件后缀是.()。选项:A、libB、vC、gdsiiD、gd
5、s正确答案:【gds】4、问题:晶圆代工厂提供给设计者用于后端版图设计,叫库交换格式,它是描述库单元的物理属性,包括端口位置、层定义和通孔定义。它抽象了单元的底层几何细节,提供了足够的信息,以便允许布线器在不对内部单元约束来进行修订的基础上进行单元连接。包含了工艺的技术信息,如布线的层数、最小的线宽、线与线之间的最小距离以及每个被选用 cell,BLOCK,PAD 的大小和 pin 的实际位置。这个库的后缀是.()选项:A、gdsB、lefC、scsD、lay正确答案:【lef】5、问题:戒指的英文 ring,它是一个( )的布局。选项:A、圆形B、方形C、多边形D、环形正确答案:【环形】6、
6、问题:填充单元的英文选项:A、fillerB、full cell C、fill cellD、filler cell正确答案:【filler cell】7、问题:电源线和地线轨道的英文选项:A、powerB、VCC GNDC、VDD VSSD、power rails正确答案:【power rails】8、问题:形式验证的英文选项:A、formal verificationB、verificationC、formalD、FV正确答案:【formal verification】9、问题:well-tap cell 的作用()。选项:A、减少闩锁效应B、防止天线效应C、防护D、省面积正确答案:【减少闩
7、锁效应】10、问题:在数字集成电路芯片内部的完整单元库分为( )选项:A、标准单元B、模块宏单元C、输入输出单元D、standard cellE、macro cellF、I/Opad cellG、反相器H、inverter正确答案:【标准单元#模块宏单元#输入输出单元#standard cell#macro cell#I/Opadcell】 11、问题:标准单元分为()选项:A、时序逻辑电路B、组合逻辑电路C、功能电路D、测试电路正确答案:【时序逻辑电路#组合逻辑电路】12、问题:在数字集成电路中常用的工艺角为()。选项:A、ttB、BCC、WCD、TC正确答案:【BC#WC#TC】13、填空
8、题:衬底的英文单词。正确答案:【substrate】14、填空题:阱的英文。正确答案:【well】15、填空题:扩散的英文。正确答案:【diffusion】16、填空题:离子注入的英文。正确答案:【ion implantation】17、填空题:有源区的英文。正确答案:【active region】18、填空题:接触孔(金属 1 与下面的连接孔)的英文。正确答案:【contact】19、填空题:通孔(金属之间的)的英文。正确答案:【via】20、填空题:晶圆正确答案:【wafer】21、填空题:闩锁效应的英文。正确答案:【latch up effect】 22、填空题:LVS 分两步完成:第一
9、步“( );第二步( )。正确答案:【抽取 比较】23、填空题:LVS 检查的内容可概括为亮点:( )正确答案:【信号的电气连接关系是否一致 器件类型尺寸是否一致】24、填空题:7 种 I/O 单元:( )。正确答案:【输入 I/O、输出 I/O、双向输入输出 I/O、供电 I/O、接地 I/O、I/O 的拐角单元、I/O 填充单元。】25、填空题:时序电路设计的一个关键问题是( )。正确答案:【时钟树】26、填空题:时间偏差的英文是( )正确答案:【skew】27、填空题:芯片电路的延时有( )和( )两部分组成。正确答案:【器件 互联线】28、填空题:PTV 条件含有( )(写英文)条件。
10、正确答案:【process、temperature,voltage】29、填空题:隔离单元的英文。正确答案:【isolation】30、填空题:开关单元的英文。正确答案:【switch cell】31、填空题:物理库交换格式的英文。正确答案:【LEF】32、填空题:功耗-延时积的英文。正确答案:【PDP】33、填空题:工艺设计锦囊的英文。正确答案:【PDK】34、填空题:光学邻近矫正的英文。正确答案:【OPC】35、填空题:多角多模的英文。正确答案:【MMMC】所有你所知道的下图图形的中文和英文名称。 3.1、3.2、3.3 测试题目1、问题:PR 的中文意思是()选项:A、布局布线B、布局布
11、图C、设置与运行D、拼图正确答案:【布局布线】2、问题:在整个芯片设计中,从布图规划到完成布局一般需要占据整个物理实施的( )时间。选项:A、1/3B、1/2C、1/4D、1/5正确答案:【1/3】3、问题:模块的输入输出 I/O 为?选项:A、pinB、padC、I/OD、block正确答案:【pin】4、问题:I/O 单元可分为( )和电源两种选项:A、信号接口B、powerC、ringD、block正确答案:【信号接口】5、问题:电源层,纵向是( )选项:A、偶数层B、奇数层C、非 M1 层D、全部金属层正确答案:【偶数层】 6、问题:LEF 文件包含哪些资料选项:A、Layer Typ
12、esB、Cell TypesC、Pin TypesD、Placement RulesE、Macro SizeF、Pin LocationG、Routing RulesH、BlockageI、Pin AreaJ、RingK、Pad Types正确答案:【Layer Types#Cell Types#Pin Types#Placement Rules#Macro Size#PinLocation#Routing Rules#Blockage#Pin Area】7、问题:A chip-level floorplan is about ?选项:A、Core size, shape and placem
13、ent rowB、IO, power, corner and filler pad cell locationsC、Macro cell placementD、Standard cell placement constraints (blockages)E、Power grid (rings, straps, rails)F、Routing正确答案:【Core size, shape and placement row#IO, power, corner and filler pad celllocations#Macro cell placement#Standard cell placem
14、ent constraints (blockages)#Powergrid (rings, straps, rails)】8、问题:Core boxdie box选项:A、正确B、错误正确答案:【错误】9、问题:die 是死亡的意思。选项:A、正确B、错误正确答案:【错误】 10、问题:输入输出单元较多而内部逻辑较少且一般为 I/O 单元限制型设计时,一般选用窄的 I/O 单元选项:A、正确B、错误正确答案:【正确】11、填空题:flip-chips 的中文意思?正确答案:【倒置封装】12、填空题:SDC 的中文意思正确答案:【标准时序约束】13、填空题:芯片大小的英文是( )正确答案:【di
15、e size】14、填空题:端口的文件的后缀一般用( )正确答案:【.io】15、填空题:信号端口的关键是()正确答案:【选择驱动的大小】16、填空题:电源环路的英文()正确答案:【power ring】17、填空题:电源条线的英文()正确答案:【power stripe】18、填空题:全局电源网络连接 的英文()正确答案:【global net connect】19、填空题:标准单元的供电网络与核心电源网格总连接设计称为( )(复数)。正确答案:【followpins】20、填空题:芯片核心的英文是( )正确答案:【core】21、填空题:布局的主要工作是()的布局正确答案:【标准单元】3.
16、4、3.5、3.6、3.7 测试题目 1、问题:在 innovus 中删除 power 采用的指令是 editDelete -type ( )选项:A、SpecialB、PowerC、stripeD、Ring正确答案:【Special】2、问题:scan chain 的规则在()文件中。选项:A、DEFB、LEFC、libD、io正确答案:【DEF】3、问题:dc 生成的 netlist 的格式是( )选项:A、Verilog HDLB、二进制C、VHDLD、net正确答案:【Verilog HDL】4、问题:create_clock -period 3.0 get_ports clk这句语句
17、中表示的是 clk 时钟频率是()选项:A、333MHzB、333HzC、3MHzD、300MHz正确答案:【333MHz】5、问题:followpins 是金属( )层。选项:A、1B、2C、3D、最上面的那层正确答案:【1】 6、问题:扫描链的目标是( )。选项:A、减小扫描的走线长度B、节约布线空间C、好看D、降低布通率。正确答案:【减小扫描的走线长度#节约布线空间】7、问题:DEF 含有()。选项:A、连接关系B、芯片面积C、布图规划区域D、电源域E、标准单元行F、标准单元位置属性G、端口位置信息H、布线内容I、层的含义J、设计规则正确答案:【连接关系#芯片面积#布图规划区域#电源域#
18、标准单元位置属性#端口位置信息#布线内容】8、问题:打开 dc 的指令()。选项:A、design_vision -topoB、design_visionC、dcD、dc_shellE、ddc正确答案:【design_vision -topo#design_vision#dc_shell】9、问题:布局需要考虑 setup time 的违例即可。选项:A、正确B、错误正确答案:【正确】10、问题:place_opt_design 指令含有 scan chain 内容。选项: A、正确B、错误正确答案:【正确】11、问题:place_design 指令含有 scan chain 内容选项:A、正
19、确B、错误正确答案:【错误】12、问题:DEF 文件是二进制代码。选项:A、正确B、错误正确答案:【错误】13、填空题:阻塞或者拥塞的英文单词( )正确答案:【congestion】14、填空题:扫描链是英文()正确答案:【scan chain】15、填空题:DEF 的中文()正确答案:【设计交换格式】16、填空题:dc 编译到门级器件的指令是( )正确答案:【compile_ultra】17、填空题:在 dc 中清除前面的约束用的指令是()正确答案:【reset_design】18、填空题:检查时序的指令是()正确答案:【check_timing】19、填空题:addWellTap -cel
20、l TAP2HJ -cellInterval 115 -prefix WELLTAP -checkerBoard 该指令是为了避免()效应对芯片的损伤。正确答案:【latch up】20、填空题:芯片设置中高电位一般设置为 VCC,那么低电位是()正确答案:【GND】21、填空题:芯片设置中高电位一般设置为 VDD,那么低电位是()正确答案:【VSS】 22、填空题:在退出时的指令是()。正确答案:【exit】时钟信号STA Measures Path Delays Through a Circuit4.1-4.3 测试1、问题:在时钟约束的定义中体现时钟频率的一个英文单词()(小写!)选项:
21、A、periodB、skewC、frequencyD、jitter正确答案:【period】2、问题:在 Verilog HDL 语言中,定义时间单位的变量是()选项:A、timescaleB、modelC、inputD、time正确答案:【timescale】3、问题:实际的时钟信号跳变时间是不可能为零的,所以我们可以用( )去模拟这个跳变时间选项:A、set_clock_transitionB、set_clockC、set_transitionD、set_clock_rest正确答案:【set_clock_transition】4、问题:在大规模集成电路中,大部分时序元件的数据传输是由时钟
- 配套讲稿:
如PPT文件的首页显示word图标,表示该PPT已包含配套word讲稿。双击word图标可打开word文档。
- 特殊限制:
部分文档作品中含有的国旗、国徽等图片,仅作为作品整体效果示例展示,禁止商用。设计者仅对作品中独创性部分享有著作权。
- 关 键 词:
- MOOC MOOC答案 中国大学慕课答案